运用VHDL设计1个模为24的8421BCD码加法计数器

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/13 20:19:43

运用VHDL设计1个模为24的8421BCD码加法计数器
运用VHDL设计1个模为24的8421BCD码加法计数器

运用VHDL设计1个模为24的8421BCD码加法计数器
奉献一个原创的
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.ALL;
ENTITY bcd_cnt_1r0 IS
GENERIC(num_bit :INTEGER := 2;
modulo :INTEGER := 24);
PORT(clk :IN STD_LOGIC;
rst :IN STD_LOGIC;
cnt_en :IN STD_LOGIC;
bcd_out :OUT STD_LOGIC_VECTOR(num_bit * 4 - 1 DOWNTO 0));
END bcd_cnt_1r0;
ARCHITECTURE rtl OF bcd_cnt_1r0 IS
CONSTANT ONES :STD_LOGIC_VECTOR(num_bit DOWNTO 0) := (OTHERS=>'1');
FUNCTION dec2unsigned (dec_val:INTEGER; dec_bit :INTEGER) RETURN UNSIGNED IS
VARIABLE result:UNSIGNED(dec_bit*4 - 1 DOWNTO 0);
VARIABLE tmp :INTEGER := dec_val;
VARIABLE tmp2 :INTEGER := 0;
BEGIN
FOR i IN 1 TO dec_bit LOOP
tmp2 := (tmp/10);
result(i*4-1 DOWNTO (i-1)*4) := TO_UNSIGNED(tmp - tmp2 * 10,4);
tmp := tmp2;
END LOOP;
RETURN result;
END dec2unsigned;
CONSTANT unsign_modulo :UNSIGNED(num_bit * 4 - 1 DOWNTO 0) := dec2unsigned(modulo,num_bit);
SIGNAL next_cnt :UNSIGNED(num_bit * 4 - 1 DOWNTO 0) := (OTHERS=>'0');
SIGNAL curr_cnt :UNSIGNED(num_bit * 4 - 1 DOWNTO 0) := (OTHERS=>'0');
SIGNAL carray_in :STD_LOGIC_VECTOR(num_bit DOWNTO 0):=(OTHERS=>'0');
TYPE dec_array_type IS ARRAY(num_bit-1 DOWNTO 0) OF UNSIGNED(3 DOWNTO 0);
SIGNAL debug_dec_cnt_bit :dec_array_type :=(OTHERS=>(OTHERS=>'0'));
BEGIN
reg_proc:PROCESS(clk)
BEGIN
IF RISING_EDGE(clk) THEN
IF rst = '1' THEN
curr_cnt '0');
ELSE
IF cnt_en = '1' THEN
IF next_cnt = unsign_modulo THEN
curr_cnt '0');
ELSE
curr_cnt

运用VHDL设计1个模为24的8421BCD码加法计数器 利用状态机的VHDL描述方法设计一个序列检测器,要求连续输入3个或3个以上的1时输出为1,否则为0.谁会啊! 利用状态机的VHDL描述方法设计一个序列检测器,要求8个数中,有3个或3个以上的1时输出为1,否则为0.急啊 用VHDL语言设计编写一个异步清零的模9计数器 用VHDL语言编写关于ALU设计单元的程序.要求:进行2个4位二进制数的运算.2、运算:A+B,A-B,A and B,A or 用VHDL语言编写下列题目要求的程序.设计一个七人表决电路,参加表决者七人,同意为1,不同意为0,同意者过半表决通过,绿色指示灯灭.表决不通过则红指示灯亮. Integrated Circuit(IC)具体指的是什么1 利用芯片设计的电路2 还是用FPGA、VHDL设计芯片的内部结构具体是哪个? vhdl伪随机数求用vhdL语言编写一段产生1-7随机数字的程序 有个vhdl的语法问题问你 二、简答题 1采用VHDL语言设计数字系统具有哪些特点?2举例说明FPGA是如何通过查找表实习其逻辑功能的? 怎样用VHDL设计含有异步清零和同步时钟使能的10位加法计数器 出租车计价器设计最好用VHDL编写 出租车计价器设计要求用VHDL编写 用VHDL设计8位减法器, vhdl语言里的cnt1:=(others=>'1')是什么意思 运用FPGA技术完成分频器的电路设计和功能仿真.1.绘制电路原理图2.编写VHDL程序3.进行实验调试是要做毕业设计啊。希望给个具体的程序还是其它一套的 vhdl中outp'1');是什么意思? 用VHDL语言 设计4位序列检测器,当检测到“0110”时输出1,否则输出0.