verilog语句中的always语句执行顺序问题如果always语句块中的某个if语句中begin-end之间有两个或者两个以上的语句,那这些语句是顺序执行还是并行执行呢?例如:always @(posedge clk or negedge rst_n) b

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/10 08:34:46

verilog语句中的always语句执行顺序问题如果always语句块中的某个if语句中begin-end之间有两个或者两个以上的语句,那这些语句是顺序执行还是并行执行呢?例如:always @(posedge clk or negedge rst_n) b
verilog语句中的always语句执行顺序问题
如果always语句块中的某个if语句中begin-end之间有两个或者两个以上的语句,那这些语句是顺序执行还是并行执行呢?
例如:
always @(posedge clk or negedge rst_n)
begin
if(clk_bps)
begin
num

verilog语句中的always语句执行顺序问题如果always语句块中的某个if语句中begin-end之间有两个或者两个以上的语句,那这些语句是顺序执行还是并行执行呢?例如:always @(posedge clk or negedge rst_n) b
举个例子当num==2,clk上升沿来了num要变成新值3,但是这个3要等到下一个clk上升沿到来才能赋给num,当前clk上升沿num值仍然保持为2,所以case中的判定条件num取值是加1前的!